Buscar

Estamos realizando la búsqueda. Por favor, espere...

Detalle_Publicacion

Synthesis of simulation and implementation code for OpenMAX multimedia heterogeneous systems from UML/MARTE models

Abstract: The design of multimedia systems is becoming a more and more challenging task due to the combination of growing functionalities and strict performance requirements along with reduced time-to-market. In this context, the OpenMAX initiative defines a standard interface for the development and interconnection of HW and SW multimedia components. However, the simulation and implementation steps required to obtain the final prototypes of such complex systems are still a challenge. To solve these problems, this paper presents a framework which enables automatic code generation from high-level UML/MARTE models. SystemC and VHDL codes are synthesized according to the OpenMAX specification requirements and they are integrated with the application SW, derived from task-based systems models. The generation of the SystemC executable specification enables easy simulation and verification of multimedia systems. After this verification stage, the framework automatically provides the VHDL code which feeds the final implementation and synthesis stage for the target platform. To demonstrate this approach, a SOBEL-based use case has been implemented with the developed framework.

 Fuente: Multimedia Tools and Applications, 2017, 76(6), 8195-8226

Editorial: Springer Nature

 Fecha de publicación: 01/03/2017

Nº de páginas: 32

Tipo de publicación: Artículo de Revista

 DOI: 10.1007/s11042-016-3448-5

ISSN: 1380-7501,1573-7721

 Proyecto español: TEC2014-58036-C4-1-R

Url de la publicación: https://doi.org/10.1007/s11042-016-3448-5

Autoría

FUENTE, DAVID, DE LA

JESÚS BARBA ROMERO

JUAN CARLOS LOPEZ LOPEZ

PABLO PEÑIL DEL CAMPO